VLSI DESIGN WITH ISE PROJECT NAVIGATOR (P.49d)
Date: 13-02-2018
AIM:
TO DESIGN A HALF ADDER.
THEORY:
Half adder is a combinational logic circuit with
two inputs and two outputs. The half adder circuit is designed to add two
single bit binary number A and B. It is the basic building block for addition
of two single bit numbers.
This circuit has two outputs carry and sum where carry is denoted by ‘C’ and sum is denoted by ‘S’.
VHDL
CODE:
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
-- Uncomment the following library
declaration if using
-- arithmetic functions with Signed or
Unsigned values
--use IEEE.NUMERIC_STD.ALL;
-- Uncomment the following library
declaration if instantiating
-- any Xilinx primitives in this code.
--library UNISIM;
--use UNISIM.VComponents.all;
entity half_adder1 is
Port ( a : in STD_LOGIC;
b : in STD_LOGIC;
s : out STD_LOGIC;
c : out STD_LOGIC);
end half_adder1;
architecture Behavioral of half_adder1
is
begin
s<= a xor b;
c<= a and b;
end Behavioral;
PROCEDURE:
- Open
a new project from the drop down menu by clicking on FILE given on the top
left of the screen.
- Create
a new project and name it.
- Click
on next to enter device properties.
- Select
the appropriate properties.
- Click
on next button to enter the new source.
- Here
select VHDL MODULE.
- Give
the file name.
- Click
on next button and enter the ENTITY name.
- Select
the define module.
- Select
the ports as input or output and name them.
- Click
on net and then to on finish.
- Write
the code for the project under the library entity.
- Save
the program.
- Select
the behavioral simulation option from the three modeling options.
- Now
select the syntax check.
- If
syntax check comes out to be correct, then proceed further, otherwise
check for errors.
- Now
select synthesize option and go through RTL schematic and view the figure.
- Similarly
view technology schematic with clicking this option inside synthesize.
RTL SCHEMATIC OF HALF ADDER
Figure2 (a): RTL Schematic
Figure2
(b): RTL Schematic
TECHNOLOGY
SCHEMATIC OF HALF ADDER
Figure3
(a): Technology Schematic
Figutre3
(b): Carry
Figure3(c):
Sum
Comments
Post a Comment